▶ 調査レポート

半導体露光装置の世界市場2021-2026:成長・動向・新型コロナの影響・市場予測

• 英文タイトル:Semiconductor Lithography Equipment Market - Growth, Trends, COVID-19 Impact, and Forecasts (2021 - 2026)

Mordor Intelligenceが調査・発行した産業分析レポートです。半導体露光装置の世界市場2021-2026:成長・動向・新型コロナの影響・市場予測 / Semiconductor Lithography Equipment Market - Growth, Trends, COVID-19 Impact, and Forecasts (2021 - 2026) / MRC2103A464資料のイメージです。• レポートコード:MRC2103A464
• 出版社/出版日:Mordor Intelligence / 2021年2月20日
• レポート形態:英文、PDF、122ページ
• 納品方法:Eメール(受注後2-3営業日)
• 産業分類:半導体
• 販売価格(消費税別)
  Single User¥629,000 (USD4,250)▷ お問い合わせ
  Team User¥703,000 (USD4,750)▷ お問い合わせ
  Corporate License¥1,110,000 (USD7,500)▷ お問い合わせ
• ご注文方法:お問い合わせフォーム記入又はEメールでご連絡ください。
• お支払方法:銀行振込(納品後、ご請求書送付)
レポート概要
本調査資料では、世界の半導体露光装置市場について調査し、イントロダクション、調査手法、エグゼクティブサマリー、市場動向、種類別(深紫外線リソグラフィー(DUV)(ArFi、ArFドライ、KrF、iライン)、極紫外線リソグラフィー(EUV))分析、用途別(高度パッケージング、MEMS装置、LED装置)分析、地域別分析、競争状況、投資分析、市場の将来の項目を掲載しています。
・イントロダクション
・調査手法
・エグゼクティブサマリー
・市場動向
・世界の半導体露光装置市場規模:種類別(深紫外線リソグラフィー(DUV)(ArFi、ArFドライ、KrF、iライン)、極紫外線リソグラフィー(EUV))
・世界の半導体露光装置市場規模:用途別(高度パッケージング、MEMS装置、LED装置)
・世界の半導体露光装置市場規模:地域別
・競争状況
・投資分析
・市場の将来

The market for semiconductor lithography equipment is expected to grow at a CAGR of 10.2 % over the forecast period (2021 – 2026). Lithography machines are one of the core pieces of equipment in chip manufacturing.

– Semiconductor lithography equipment has become essential for world industries. This is because it is used for printing minute circuits on semiconductors, which are key devices supporting the information-oriented society. For instance, semiconductor lithography systems are essential for the fabrication of semiconductor devices used in devices as cameras, smartphones and automobiles.
– The minute exposure technology of semiconductor lithography equipment has evolved in particular to g-line, i-line and excimer laser (KrF/ArF) steppers. EUVL (Extreme Ultra Violet Lithography), which is super minute exposure technology, has been developed as the next generation lithography.
– Apart from CD-SEM, Critical Dimension Process Control equipment has also been experiencing significant demand, and various vendors in the market have been increasingly developing and innovating solutions to cater to the demand from semiconductor manufacturers.​
– Additionally, various companies have been increasingly advancing in the EUV technology lithography by forming partnerships with various semiconductor research enterprises. For instance, previously, Imec, a leading research and innovation hub in digital technologies and nanoelectronics, collaborated with ASML to accelerate EUV lithography adoption for high volume production.​
– The outbreak of the novel coronavirus is expected to negatively affect silicon wafer sales in the second half of 2020 with possible effects on price negotiations in 2021. Moreover, sales of consumer electronics is forecast to decrease in near future due to consumer preferences towards. more essential items. ​This would ultimately affect the declining uage of semiconductor lithography equipment.

Key Market Trends

Extreme Ultraviolet Lithography to Dominate the Market

– Semiconductor lithography equipment has seen many advances over the years, adopting a large lens with high numeral aperture (NA) or using short wavelength light as the light source. However, as gate length decreases below 30nm, the patterning ability of existing liquid immersion ArF lithography equipment reaches its limit.
– Accordingly, the semiconductor industry has been preparing for new semiconductor lithography under the name EUV to enable 10nm-class scale processes. Netherlands-based ASML has a monopoly on EUV equipment and each unit costs between about USD 81 million and USD 122 million.
– By utilizing the light of a 13.5nm wavelength, much shorter than existing ArF wavelength of 193nm, EUV allows much finer semiconductor circuit patterns without multi-patterning. Through this, the number of processing steps is reduced and thus manufacturing time shorter than current multi-patterning, such as Quadruple Patterning Technique (QPT)
– However, applying EUV to chips such as DRAMs is a challenging process requiring the most advanced technology. Regarding DRAMs, it is expected that EUV will be partially used in 2020 in manufacturing chips of 1ynm or smaller.

Asia-Pacific to be the Fastest Growing Market

– The United States issued a new set of trade rules that hamper American-made semiconductor equipment sales to China. The US clampdown on ZTE and Huawei has compelled Chinese companies to explore self-developed lithography equipment.
– In May 2020, Samsung announced that it will open a new production line in Pingze City, south of Seoul, and begin mass production of 5nm chips in the second half of 2021. Previously, Samsung had planned to start producing this chip on the production line in Hwaseong, South Korea this year.
– Moreover, according to SEMI, China is expected to be the leading country in fab investment in 2020, with more than USD 20 billion in expenditure, driven by memory and foundry projects, and funded by both multinational and domestic companies. Currently, 25 new fab construction projects are underway or planned in China.
– Although China’s chip manufacturing industry has a foundation and customers, there is still a large technical gap with the Western countries, particularly in the areas of high-end process chips, single crystal furnaces, lithography machines, coating/developing equipment and other equipment market.

Competitive Landscape

The market is concentrated in nature due to few of the vendors such as ASML, Veeco and Nikon occupying the majority share of the market. The key equipment for semiconductor manufacturing is basically monopolized by American and Japanese companies.

– June 2020 – Shanghai Micro Electronics Equipment (Group) Co (SMEE) announced to deliver the first domestic 28nm lithography machine between 2021 to 2022, helping narrowing the gap with the world’s chip-making technology.
– January 2020 – Canon announced the 50th anniversary of the launch in 1970 of the PPC-11, Japan’s first semiconductor lithography system, which signaled the company’s full-scale entry into the semiconductor lithography equipment business.
– July 2019 – Apple announced that its 2019 and future iPhones would be lot more powerful than some of the laptops since the Apple A13 chipset features the same 7nm process, but with EUV technique.

Reasons to Purchase this report:

– The market estimate (ME) sheet in Excel format
– 3 months of analyst support

レポート目次

1 INTRODUCTION
1.1 Study Assumptions
1.2 Scope of the Study

2 RESEARCH METHODOLOGY

3 EXECUTIVE SUMMARY

4 MARKET DYNAMICS
4.1 Market Overview
4.2 Market Drivers
4.2.1 Increasing Demand for Miniaturization and Extra Functionality by Electric Vehicles and Advanced Mobile Devices
4.2.2 Growing Innovation by Specialist Equipment Vendors offering Brand New Lithography Tools
4.3 Market Restraints
4.3.1 Challenges Regarding Complexity of Pattern in Manufacturing Process
4.4 Semiconductor Lithography Equipment Technology Roadmap
4.5 Porters Five Force Analysis
4.5.1 Threat of New Entrants
4.5.2 Bargaining Power of Buyers/Consumers
4.5.3 Bargaining Power of Suppliers
4.5.4 Threat of Substitute Products
4.5.5 Intensity of Competitive Rivalry
4.6 Assessment of Impact of COVID-19 on the Semiconductor Lithography Equipment Market

5 MARKET SEGMENTATION
5.1 By Type
5.1.1 Deep Ultraviolet Lithography (DUV) (ArFi,ArF dry,KrF,i-line)
5.1.2 Extreme Ultraviolet Lithography (EUV)
5.2 By Application
5.2.1 Advanced Packaging
5.2.2 MEMS Devices
5.2.3 LED Devices
5.3 Geography
5.3.1 North America
5.3.2 Europe
5.3.3 Asia Pacific
5.3.4 Rest of the World

6 COMPETITIVE LANDSCAPE
6.1 Company Profiles
6.1.1 Canon Inc.
6.1.2 Nikon Corporation
6.1.3 ASML Holding NV
6.1.4 Veeco Instruments Inc.​
6.1.5 SÜSS MicroTec SE​
6.1.6 Shanghai Micro Electronics Equipment (Group) Co., Ltd.​
6.1.7 EV Group (EVG)
6.1.8 JEOL, Ltd.
6.1.9 Onto Innovation (Rudolph Technologies, Inc.)
6.1.10 NIL Technology ApS

7 INVESTMENT ANALYSIS

8 FUTURE OF THE MARKET