市場調査レポート

EUVリソグラフィ市場規模・シェア分析 ― 成長動向と予測 (2025年~2030年)

EUVリソグラフィ市場は、製品タイプ(光源、ミラー/光学系など)、エンドユーザータイプ(ファウンドリ、および集積デバイスメーカー(IDM))、テクノロジーノード(7nm以上、5nmなど)、光源技術(レーザー生成プラズマ、ガス放電プラズマ、真空スパーク、およびERL-EUV)、ならびに地域(北米、南米、欧州、アジア太平洋、および中東アフリカ)にセグメント化されています。
世界市場分析レポートのイメージ
※本ページの内容は、英文レポートの概要および目次を日本語に自動翻訳したものです。最終レポートの内容と異なる場合があります。英文レポートの詳細および購入方法につきましては、お問い合わせください。

*** 本調査レポートに関するお問い合わせ ***

EUVリソグラフィ市場は、2025年には237.1億米ドル、2030年には373.2億米ドルに達すると予測されており、予測期間中の年平均成長率(CAGR)は9.49%と見込まれています。この成長は、チップメーカーが5nm以下のノードへ移行していること、AI、5G、高性能コンピューティング(HPC)からの需要増加、政府の補助金プログラム、そしてHigh-NA EUVプラットフォームへの移行といった要因によって推進されています。

市場規模と予測
EUVリソグラフィ市場は、2025年に237.1億米ドルと評価され、2030年までに373.2億米ドルに達すると予測されており、2025年から2030年までのCAGRは9.49%です。地域別では、アジア太平洋地域が最大の市場であり、中東・アフリカ地域が最も急速に成長する市場と予測されています。市場集中度は高いとされています。

主要な市場動向と推進要因

* 5nm以下のロジック・メモリノードへの需要: 2025年に予定されている2nmチップの量産計画は、EUV露光のみが達成できる線幅を要求しています。TSMCはEUVツールフリートに123億米ドルを投じ、3nm設計と比較して10-15%の速度向上または25-30%の電力削減を目指しています。スマートフォンやデータセンターのチップ購入者はすでにこれらのノードを予約しており、機器ベンダーは数年分の受注残を確保しています。これにより、EUVリソグラフィ市場は、各ノードの微細化に合わせた予測可能な出荷パイプラインを享受しています。

* AI/5G/HPCの能力増強の加速: AIアクセラレータ、5Gベースバンドシリコン、高帯域幅メモリはすべて、微細な配線ピッチを必要とします。TSMCの2024年第4四半期の収益が前年比37%増の268.8億米ドルに達したことは、需要の規模を示しています。これに対応するため、同社は2025年に320億~360億米ドルの設備投資を予算化しており、これには約60台のEUVスキャナーが含まれます。このような受注は、ツールのリードタイムを短縮し、ペリクル、マスクブランク、レジストベンダーなどの小規模サプライヤーをEUVリソグラフィ市場の成長サイクルに組み込んでいます。

* 政府による半導体補助金プログラム: 米国のCHIPS法は、国内生産に520億米ドルを投入し、ニューヨークのEUVアクセラレーターに8億2500万米ドルを割り当てています。欧州は2030年までに半導体シェアを20%に倍増させることを目指し、日本は補助金制度とGAAFET研究における提携を組み合わせています。これらの政策は、新規のグリーンフィールド工場への資本の流れを促進し、EUV露光ツールおよび関連部品の地理的な顧客基盤を拡大しています。

* High-NA (0.55 NA) EUVプラットフォームへの移行: ASMLは2023年後半に最初の0.55 NAスキャナーをIntelに出荷しました。このプラットフォームは、0.33 NAツールと比較して密度を2.9倍に高め、ウェハーあたりのパターニング工程数を削減します。初期採用者は2025年半ばまでの全ユニットを確保しており、数年間の収益源を保証しています。各システムは3億8400万米ドルと高価ですが、予測される生産性向上は、マスク数の削減と歩留まりの改善により、購入費用を相殺します。

* ペリクル膜の画期的な進歩による生産性向上: カーボンナノチューブ膜は現在、97-98%の透過率と1,000Wの露光に耐える能力を提供しており、以前の窒化ケイ素膜からの大きな進歩です。主要なファウンドリは2nmプロセスフロー向けにCNTペリクルを承認しており、すべてのマスク層に保護が必要な交換サイクルが始まっています。規模の拡大により、すでにユニットコストは削減されています。

* ERLベースのコンパクトなEUV光源R&Dの勢い: ERL-EUVプラットフォームは、超伝導リニアックでコヒーレントなEUVを生成することで、スズのデブリを排除します。ローレンス・リバモア国立研究所の研究では、0.33 GeVのビームエネルギーで2kWの出力を示しており、壁面プラグ電力消費を大幅に削減します。プロトタイプのタイムラインは業界の1.4nmノードと一致しており、供給を多様化できる代替手段をチップメーカーに提供しています。商業化されれば、ERL技術は運用コストと環境負荷を低減し、補助金を受けたグリーンファブにとって二つの優先事項となります。

市場の抑制要因

* 1億5,000万ドル以上のシステムコストと工場改修の複雑さ: 基本的なEUVスキャナーは約1億5,000万米ドル、High-NAユニットはその2倍以上の費用がかかります。工場もクリーンルームの気流、振動減衰、電力供給をアップグレードする必要があります。小規模なファウンドリはこのような多額の費用を償却するのに苦労し、EUVリソグラフィ市場の潜在的な顧客を狭める技術格差のリスクを抱えています。DUVとEUVを並行して稼働させるデュアルレーン運用は、さらに設備投資予算を拡大させます。

* 単一ベンダーへの依存とサプライチェーンのボトルネック: ASMLはEUVシステム供給の100%、DUVベースの90%を占めています。年間生産台数は約50台に制限されており、輸出規制により一部地域への出荷が制限されています。高純度ガラスから多層ミラーに至るまで、重要なサブシステムも同様に単一供給源に依存しています。いかなる混乱もEUVリソグラフィ市場全体に影響を及ぼすため、各国政府はリスクを軽減するために国内の部品生産能力への投資を促しています。

* EUVフォトレジストの確率的欠陥: EUVフォトレジストの確率的欠陥は、市場の成長を抑制する要因の一つです。

* EUV訓練を受けたフィールドサービスエンジニアの不足: EUVシステムを扱う専門知識を持つフィールドサービスエンジニアの不足も、市場の課題となっています。

セグメント分析

* 製品タイプ別: 光源が収益を牽引し、ペリクルが成長を加速
* 光源: 2024年には、市場の主要な収益源としてその地位を確立し、全体の成長を牽引すると予測されています。特に、高出力化と安定性の向上が進むことで、次世代半導体製造におけるEUVリソグラフィの採用拡大に貢献するでしょう。

* ペリクル: ペリクルは、EUVマスクを汚染から保護する重要なコンポーネントであり、その需要はEUVリソグラフィの普及とともに急速に増加しています。2024年以降も、透過率の向上と欠陥密度の低減に向けた技術革新が市場の成長を加速させると見込まれています。

* マスクブランクス: EUVマスクブランクスは、極めて高い平坦度と欠陥フリーが求められるため、製造プロセスが複雑でコストも高くなります。EUVリソグラフィの採用拡大に伴い、高品質なマスクブランクスの安定供給が市場の重要な課題となっています。

* その他: レーザー、光学部品、検査装置などが含まれます。これらのコンポーネントもEUVエコシステムにおいて不可欠であり、技術革新と需要の増加が見込まれます。

地域別: アジア太平洋地域が市場を支配

* アジア太平洋地域: 台湾、韓国、中国、日本などの国々が半導体製造の中心地であるため、アジア太平洋地域はEUVリソグラフィ市場において最大のシェアを占めています。特に、TSMC、Samsung、SK Hynixといった主要なファウンドリやメモリメーカーがEUV技術への大規模な投資を行っており、今後もこの地域の市場成長を牽引すると予測されています。各国政府による半導体産業への支援策も、市場拡大に寄与しています。

* 北米: IntelやMicronなどの主要な半導体メーカーが存在し、EUV技術の研究開発と導入が進められています。政府の支援策や国内製造能力の強化に向けた取り組みが、市場の成長を後押ししています。

* 欧州: ASMLの本拠地であり、EUV技術の開発において重要な役割を担っています。研究機関や半導体メーカーがEUV技術の応用と最適化に取り組んでおり、市場の成長に貢献しています。

* その他: その他の地域でも、半導体製造能力の強化やEUV技術への関心が高まっており、将来的な市場拡大の可能性を秘めています。

主要企業

EUVリソグラフィ市場は、ASMLが圧倒的なシェアを占める寡占市場です。しかし、フォトレジスト、マスクブランクス、ペリクルなどの関連コンポーネント市場では、複数の企業が競争しています。

* ASML Holding N.V.
* Carl Zeiss SMT GmbH
* Nikon Corporation
* Canon Inc.
* Applied Materials, Inc.
* KLA Corporation
* Tokyo Electron Limited
* SCREEN Holdings Co., Ltd.
* JSR Corporation
* Shin-Etsu Chemical Co., Ltd.
* Merck KGaA
* DuPont de Nemours, Inc.
* AGC Inc.
* HOYA Corporation
* Toppan Printing Co., Ltd.
* Dai Nippon Printing Co., Ltd.

市場の動向と将来予測

EUVリソグラフィ市場は、今後も半導体産業の成長と密接に連動しながら拡大していくと予測されています。特に、AI、5G、IoT、自動運転などの先端技術の進化が、より高性能で低消費電力の半導体チップへの需要を加速させ、EUV技術の採用をさらに促進するでしょう。

* 高NA EUVの導入: ASMLは、より微細なパターン形成を可能にする高NA(High-NA)EUVシステムの開発を進めており、2025年以降の導入が期待されています。これにより、半導体製造はさらに微細化され、次世代のロジックおよびメモリチップの生産が可能になります。

* EUVフォトレジストの進化: 確率的欠陥の低減と感度の向上を目指した新しいフォトレジスト材料の開発が活発に行われています。化学増幅型レジスト(CAR)に代わる金属酸化物レジスト(MOR)やポリマーフリーレジストなどの研究が進められており、EUVリソグラフィの性能向上に貢献すると期待されています。

* ペリクル技術の進歩: EUVペリクルの透過率向上と耐久性強化は、スループットとコスト効率の改善に不可欠です。新しい材料や製造プロセスの開発により、ペリクルの性能は継続的に向上していくでしょう。

* サプライチェーンの多様化と強靭化: 単一ベンダーへの依存リスクを軽減するため、各国政府や企業は国内での部品生産能力への投資を促し、サプライチェーンの多様化と強靭化を図っています。これにより、将来的な供給途絶のリスクが低減される可能性があります。

* EUVエコシステムの拡大: EUVリソグラフィの普及に伴い、関連する検査装置、計測装置、マスク製造装置などのエコシステム全体が拡大していくと予測されています。

結論

EUVリソグラフィ市場は、半導体産業の未来を形作る上で不可欠な技術であり、今後も力強い成長が期待されます。技術的な課題や地政学的なリスクは存在するものの、継続的な技術革新とエコシステム全体の発展により、これらの課題は克服され、より高性能な半導体チップの製造が実現されるでしょう。主要企業は、研究開発への投資とサプライチェーンの強化を通じて、この成長市場での競争優位性を維持しようと努めています。

このレポートは、極端紫外線(EUV)リソグラフィ市場の詳細な分析を提供しています。

1. 市場の定義と範囲
本調査におけるEUVリソグラフィ市場は、7nm以下のノードでのパターニングを可能にする、新しく構築されたEUVスキャナーおよびその不可欠な構成要素(光源、ミラー、マスク、ペリクル、関連サブアセンブリなど)から生じる世界的な収益として定義されています。これらの売上は、ファウンドリまたは統合デバイスメーカー(IDM)へのOEMによる収益計上時点を基準としています。アフターサービス契約、再生された深紫外線プラットフォーム、および社内でのツール変換は、この市場の範囲には含まれません。

2. エグゼクティブサマリーと市場規模
EUVリソグラフィ市場は、2025年には237.1億米ドルの規模に達し、2030年までには373.2億米ドルに成長すると予測されており、予測期間中の年平均成長率(CAGR)は9.49%と見込まれています。
製品セグメント別では、カーボンナノチューブ膜を搭載したペリクルが最も速い成長を示し、2025年から2030年にかけて18.5%のCAGRが予測されています。
High-NA(高開口数)スキャナーは、1台あたり約3億8400万米ドルと標準的なEUV装置の2倍以上のコストがかかりますが、トランジスタ密度を2.9倍向上させ、多重パターニングの削減と長期的なウェハーコストの低減に貢献します。
地域別では、アジア太平洋地域が2024年の収益の64.4%を占め、需要を牽引しています。一方、中東およびアフリカ地域は、新たな技術投資の牽引により、2030年までに11.1%のCAGRで最も急速に拡大すると予測されています。
市場の主な障壁としては、高額なシステム価格と工場改修の複雑さ(予測CAGRに-3.2%の影響)、および単一ベンダーへの依存(-2.1%の影響)が挙げられています。

3. 市場の促進要因と抑制要因
促進要因:
* 5nm未満のロジックおよびメモリノードに対する需要の増加。
* AI、5G、HPC(高性能コンピューティング)容量の構築加速。
* 各国政府による半導体補助金プログラムの実施。
* High-NA(0.55 NA)EUVプラットフォームへの移行。
* ペリクル膜の画期的な進歩による生産性の向上。
* ERL(エネルギー回収型リニアック)ベースのコンパクトなEUV光源の研究開発の勢い。

抑制要因:
* 1億5000万米ドルを超えるシステムコストと、工場改修の複雑さ。
* 単一ベンダーへの依存とサプライチェーンのボトルネック。
* EUVフォトレジストの確率的欠陥。
* EUVに精通したフィールドサービスエンジニアの不足。

4. 市場セグメンテーション
市場は以下の主要なセグメントにわたって分析されています。
* 製品タイプ別: 光源、ミラー/光学部品、マスク、ペリクル、マスクブランク。
* エンドユーザータイプ別: ファウンドリ、統合デバイスメーカー(IDM)。
* 技術ノード別: 7nm以上、5nm、3nm、2nm以下。
* 光源技術別: レーザー生成プラズマ(LPP)、ガス放電プラズマ、真空スパーク、ERL-EUV。
* 地域別: 北米、南米、欧州、アジア太平洋、中東およびアフリカ。

5. 競合環境
レポートでは、市場集中度、戦略的動向、市場シェア分析が提供されています。主要企業としては、ASML Holding N.V.、Canon Inc.、Nikon Corporation、ZEISS SMT、Ushio Inc.、Gigaphoton Inc.、Cymer LLC、Toppan Photomasks Inc.、Hoya Corporation、AGC Inc.、Shin-Etsu Chemical Co.、JSR Corp.、Tokyo Ohka Kogyo (TOK)、DuPont de Nemours Inc.、Carl Zeiss High-NA Systemsなど、多数のグローバル企業がプロファイルされています。

6. 調査方法論
本レポートの調査は、厳格な方法論に基づいて実施されています。
* 一次調査: アジア太平洋地域のプロセスエンジニア、欧州のIDM調達担当者、北米の機器販売業者との構造化された議論を通じて、設置リードタイム、スループット、High-NAスロットに関する洞察を得ています。
* 二次調査: SEMI出荷統計、World Fab Forecast、フォトリソグラフィツールの関税コード、Questelを通じた特許情報、IEEE Transactions on Semiconductor Manufacturingなどの学術論文、Dow Jones Factivaのニュースアーカイブ、D&B Hooversなどの有料データベース、企業の10-K提出書類、投資家向け資料、CHIPS法に基づく補助金開示情報など、広範な公開データが活用されています。
* 市場規模算出と予測: グローバルな300mmウェハー容量の追加、スキャナーの受注記録、平均販売価格(ASP)から始めるトップダウンアプローチと、サンプリングされたサプライヤーやチャネルからの証拠に基づくボトムアップアプローチを組み合わせています。予測には、多変量回帰分析とシナリオ分析が用いられ、メモリ在庫の変動や財政的インセンティブがツール需要に与える影響が示されています。
* データ検証と更新サイクル: すべてのモデルは、過去のSEMI請求データや輸入パターンに対する分散テスト、2段階のピアレビューを経て検証されています。5%を超える外れ値は専門家による再確認の対象となります。データは毎年更新され、High-NA出荷の遅延などの重要な事象が発生した場合には中間更新が行われ、発行直前に最終的な検証が実施されます。
Mordor Intelligenceは、透明性の高い変数と定期的な見直しにより、信頼性の高い市場ベースラインを提供しています。


Chart

Chart

1. はじめに

  • 1.1 調査の前提条件と市場の定義

  • 1.2 調査範囲

2. 調査方法

3. エグゼクティブサマリー

4. 市場概況

  • 4.1 市場概要

  • 4.2 市場の推進要因

    • 4.2.1 5 nm未満のロジックおよびメモリノードの需要

    • 4.2.2 AI/5G/HPC容量構築の加速

    • 4.2.3 政府の半導体補助金プログラム

    • 4.2.4 High-NA (0.55 NA) EUVプラットフォームへの移行

    • 4.2.5 ペリクル膜のブレークスルーによる生産性の飛躍

    • 4.2.6 ERLベースの小型EUV光源R&Dの勢い

  • 4.3 市場の阻害要因

    • 4.3.1 1億5000万ドル以上のシステムコストと製造工場改修の複雑さ

    • 4.3.2 単一ベンダーへの依存とサプライチェーンのボトルネック

    • 4.3.3 EUVフォトレジストの確率的欠陥

    • 4.3.4 EUV訓練を受けたフィールドサービスエンジニアの不足

  • 4.4 バリューチェーン分析

  • 4.5 規制環境

  • 4.6 技術的展望

  • 4.7 ポーターの5つの力分析

    • 4.7.1 供給者の交渉力

    • 4.7.2 買い手の交渉力

    • 4.7.3 新規参入の脅威

    • 4.7.4 代替品の脅威

    • 4.7.5 競争の激しさ

  • 4.8 マクロ経済要因の影響評価

5. 市場規模と成長予測(金額)

  • 5.1 製品タイプ別

    • 5.1.1 光源

    • 5.1.2 ミラー / 光学部品

    • 5.1.3 マスク

    • 5.1.4 ペリクル

    • 5.1.5 マスクブランク

  • 5.2 エンドユーザータイプ別

    • 5.2.1 ファウンドリ

    • 5.2.2 垂直統合型デバイスメーカー (IDM)

  • 5.3 テクノロジーノード別

    • 5.3.1 7 nm以上

    • 5.3.2 5 nm

    • 5.3.3 3 nm

    • 5.3.4 2 nm以下

  • 5.4 光源技術別

    • 5.4.1 レーザー生成プラズマ (LPP)

    • 5.4.2 ガス放電プラズマ

    • 5.4.3 真空スパーク

    • 5.4.4 ERL-EUV

  • 5.5 地域別

    • 5.5.1 北米

    • 5.5.1.1 米国

    • 5.5.1.2 カナダ

    • 5.5.2 南米

    • 5.5.2.1 ブラジル

    • 5.5.2.2 その他の南米諸国

    • 5.5.3 欧州

    • 5.5.3.1 ドイツ

    • 5.5.3.2 オランダ

    • 5.5.3.3 英国

    • 5.5.3.4 フランス

    • 5.5.3.5 イタリア

    • 5.5.3.6 ロシア

    • 5.5.3.7 その他の欧州諸国

    • 5.5.4 アジア太平洋

    • 5.5.4.1 台湾

    • 5.5.4.2 韓国

    • 5.5.4.3 日本

    • 5.5.4.4 中国

    • 5.5.4.5 シンガポール

    • 5.5.4.6 その他のアジア太平洋諸国

    • 5.5.5 中東およびアフリカ

    • 5.5.5.1 中東

    • 5.5.5.1.1 GCC

    • 5.5.5.1.2 トルコ

    • 5.5.5.1.3 サウジアラビア

    • 5.5.5.1.4 その他の中東諸国

    • 5.5.5.2 アフリカ

    • 5.5.5.2.1 南アフリカ

    • 5.5.5.2.2 その他のアフリカ諸国

6. 競合情勢

  • 6.1 市場集中度

  • 6.2 戦略的動き

  • 6.3 市場シェア分析

  • 6.4 企業プロファイル(グローバルレベルの概要、市場レベルの概要、主要セグメント、利用可能な財務情報、戦略情報、市場ランク/シェア、製品とサービス、最近の動向を含む)

    • 6.4.1 ASML Holding N.V.

    • 6.4.2 Canon Inc.

    • 6.4.3 Nikon Corporation

    • 6.4.4 ZEISS SMT

    • 6.4.5 Ushio Inc.

    • 6.4.6 Gigaphoton Inc.

    • 6.4.7 Cymer LLC

    • 6.4.8 Toppan Photomasks Inc.

    • 6.4.9 Hoya Corporation

    • 6.4.10 AGC Inc.

    • 6.4.11 Shin-Etsu Chemical Co.

    • 6.4.12 JSR Corp.

    • 6.4.13 Tokyo Ohka Kogyo (TOK)

    • 6.4.14 DuPont de Nemours Inc.

    • 6.4.15 Carl Zeiss High-NA Systems

    • 6.4.16 Eulitha AG

    • 6.4.17 Heidelberg Instruments Mikrotechnik GmbH

    • 6.4.18 KLA Corporation

    • 6.4.19 Applied Materials Inc.

    • 6.4.20 Lam Research Corp.

    • 6.4.21 Hitachi High-Tech Corp.

    • 6.4.22 Inpria Corporation

    • 6.4.23 JEOL Ltd.

    • 6.4.24 Veeco Instruments Inc.

    • 6.4.25 Onto Innovation Inc.

7. 市場機会と将来展望


*** 本調査レポートに関するお問い合わせ ***


グローバル市場調査レポート販売と委託調査

[参考情報]
EUVリソグラフィは、半導体製造において極めて微細な回路パターンを形成するために不可欠な次世代露光技術でございます。EUVとは「Extreme Ultraviolet(極端紫外線)」の略であり、波長13.5ナノメートル(nm)という非常に短い光を用いることが最大の特徴です。従来の液浸ArF(フッ化アルゴン)エキシマレーザーリソグラフィが到達しうる微細化の限界を超え、7nmノード以降の最先端半導体デバイス製造において、その中核を担っております。この技術は、高性能かつ低消費電力の半導体チップを実現するための鍵であり、現代のデジタル社会を支える基盤技術として、その重要性は増すばかりでございます。

EUVリソグラフィの仕組みは、従来の光学リソグラフィとは大きく異なります。まず、光源には、錫(Sn)をターゲットとしたプラズマ生成方式が採用されております。高出力のCO2レーザーを微小な錫の液滴に照射することで、高温のプラズマを発生させ、そこから波長13.5nmのEUV光を効率的に放出させます。このEUV光は、空気中の酸素や窒素に吸収されやすいため、露光装置全体が超高真空環境に保たれる必要がございます。また、従来の光学レンズではEUV光を透過させることができないため、光学系には特殊な多層膜ミラーが用いられます。このミラーは、モリブデン(Mo)とシリコン(Si)を交互に数十層積層したもので、EUV光を高い反射率で反射させることが可能でございます。マスクも透過型ではなく、反射型マスクが使用され、パターン形成部にはEUV光を吸収する材料、それ以外の部分には反射する多層膜が形成されております。この反射型マスクにEUV光を照射し、反射されたパターンをウェハ上のEUVレジストに転写することで、微細な回路パターンが形成されます。

EUVリソグラフィの主な用途は、最先端のロジック半導体、例えば高性能なCPU、GPU、AIチップ、そしてDRAMなどのメモリ半導体の製造でございます。特に、7nm、5nm、3nmといった微細なプロセスノードにおいて、EUVリソグラフィは不可欠な技術となっております。従来のArF液浸リソグラフィでは、これらの微細なパターンを形成するために、ダブルパターニングやクアッドパターニングといった複雑な多重露光技術が必要でしたが、EUVリソグラフィを導入することで、露光工程を大幅に簡素化し、製造コストの削減と歩留まりの向上に貢献しております。これにより、スマートフォン、データセンター、自動運転車、IoTデバイスなど、あらゆる分野で求められる高性能・高機能な半導体デバイスの実現を可能にしているのです。

EUVリソグラフィを支える関連技術は多岐にわたります。まず、高出力EUV光源技術は、露光装置のスループット(ウェハ処理枚数)を決定する重要な要素であり、ASMLの子会社であるCymer社や日本のギガフォトン社が開発をリードしております。次に、高精度な多層膜ミラーは、ドイツのカールツァイス社が独占的に供給しており、ナノメートルレベルの平坦度と高い反射率が求められます。反射型EUVマスクの製造には、マスクブランクスの開発、欠陥検査、リペア技術が不可欠であり、HOYA、AGC、大日本印刷、凸版印刷といった日本企業が重要な役割を担っております。また、EUV光に感応する特殊なレジスト材料の開発も極めて重要であり、JSR、東京応化工業、信越化学工業などが高感度、高解像度、低ラインエッジラフネス(LER)を実現するための研究開発を進めております。さらに、超高真空環境を維持するための真空技術、そして微細な欠陥を検出・管理するための高精度な検査装置も、EUVリソグラフィの安定稼働と歩留まり向上には欠かせない技術群でございます。

市場背景としましては、半導体微細化の限界が叫ばれる中で、EUVリソグラフィは「ムーアの法則」を維持するための切り札として登場いたしました。従来の技術では、微細化が進むにつれて多重露光の工程が複雑化し、製造コストと時間が膨大になるという課題に直面しておりましたが、EUVはこれを一挙に解決する可能性を秘めております。EUV露光装置の市場は、オランダのASML社が事実上独占しており、その装置価格は1台あたり数千億円にも達します。そのため、EUVリソグラフィを導入できる半導体メーカーは、台湾のTSMC、韓国のSamsung、米国のIntelといった限られた大手企業に集中しております。これらの企業が最先端半導体の生産をリードし、世界の半導体サプライチェーンにおいて重要な位置を占めております。日本企業は、EUV露光装置本体の製造には直接関与しておりませんが、光源、光学系、マスク、レジスト、検査装置といったサプライチェーンの各段階で、世界的に見ても極めて重要な技術と製品を提供しており、EUVエコシステム全体を支える上で不可欠な存在となっております。

EUVリソグラフィの将来展望としましては、さらなる微細化と性能向上が期待されております。現在主流のEUV露光装置のNA(開口数)は0.33ですが、次世代技術として「High-NA EUV」の開発が進められております。これはNAを0.55に向上させることで、2nm、1.4nmといったさらに微細なプロセスノードに対応し、より高性能な半導体チップの製造を可能にするものでございます。ASML社が2025年頃の導入を目指して開発を進めており、これにより半導体技術の進化はさらに加速すると見込まれております。また、光源の高出力化やレジストの高感度化により、露光装置のスループットをさらに向上させ、製造コストの低減を図る努力も続けられております。マスクやレジスト、装置由来の欠陥を徹底的に排除し、歩留まりを向上させるための技術開発も継続的な課題です。EUVリソグラフィは、量子コンピューティングやAIチップなど、次世代の革新的な技術を支える基盤として、その重要性をますます高めていくことでしょう。技術的な課題は依然として存在しますが、半導体産業の未来を切り拓く上で、EUVリソグラフィは不可欠な存在であり続けると考えられます。